數字 模擬電路晶元的區別及其設計的前端和後端的分工區別?

時間 2021-05-08 01:14:16

1樓:Leo

數字前端是邏輯設計,設計邏輯門,fpga(Verilog/vhdl),後端是layout(畫圖層,不知我翻譯的對不對)。

2樓:瑪麗盧

怎麼以上的答案都說的那麼死板呢~

就拿三極體來說,數位電路晶元就是讓它工作在截止和導通狀態,避免工作在放大狀態,因為它就需要0和1;而模擬電路晶元就是讓它工作在放大狀態,避免在截止和導通狀態,模擬訊號就是極力避免0和1。

而前端和後端的概念,這個只在模擬電路才有的概念,數位電路不分前後端的。

模擬電路的乙個重要組成部分就是放大器,放大器電路就是有前級和后級的概念。

前級一般是去除干擾,它對訊號的放大倍數極少,一般都在10倍以下;而後級就是把去除干擾後的訊號進行功率放大,這個放大倍數可達幾萬倍。

3樓:杜工

你們這些搞數字的,不被模擬虐久了,好像自信又回來了。

首先要說明的是,模電那本書,在模擬這一塊,頂多頂多算個入門級別的。

單純就難度而言,模擬跟數字完全不是乙個量級的。

數字完全可以自學成才。

模擬沒有乙個懂的人帶入行,靠自己摸索基本上沒有戲,除非你天資特別好,百裡挑一。比如我們學校,那些搞電子設計的,都是搞模擬搞不下去了搞數字,而且很多都搞的不錯,從來沒見過搞數字搞不下去了能搞的了模擬的。

模擬為什麼難,不是因為模擬電路本身有多複雜,而是模擬處理的物件是實際的板子,任何實際因素都會對板子的效能造成很大影響。如果你處理的物件是個黑盒的話,對數字而言,黑盒的輸入是已知的,而模擬,很多因素是未知的。如果你的東西出了問題,很多時候根本就不知道是什麼因素造成的。

因為實際世界中,影響板子的因素太多,所以做模擬最重要的就是乙個建模的能力,要把握影響板子效能的主要因素,建模之後,要進行定性的計算,看看工程裕量夠不夠,不要想著這個很簡單,比如算乙個開關電源的輸出雜訊,要對整版的分布引數進行建模,怎麼不大概影響精度的情況下簡化模型,是非常考驗乙個人的理論基礎和工程經驗的。還有像脈衝干擾、工模雜訊這種。

還有我給你們說乙個秘密,所有有水平的模擬工程師都告訴你說模擬靠的是經驗,那是騙你玩的,他們做乙個產品,基本上要算半個演草本,不僅主要指標會算,那幾個公式高中生都學得會,算的是那種看起來很空虛的指標,像串擾這種,當然是不會叫你看見的,怕你要學。乙個合格的模擬工程師,手算就能把產品的指標算個大概。

任何系統,只要規模大了,都很難做,及時很簡單的東西,你用幾百個幾千個組合起來,配合工作,也非常難做,大規模數位電路肯定也很難,但乙個諷刺的事實卻是,在大規模積體電路排版上,搞片上電磁相容的,都不是做數字的,國內稍微上規模的積體電路,數字上都綜合的出來,但要流片測效能,不知道多少都栽在這上面了。

另外模擬跟實際電路打交道,不可避免的設計到很多任務藝東西:PCB製程,批產性,貼裝工藝,可靠性分析,高溫失效分析,機加,電鍍,封裝,應力~~~~一堆爛七八糟的。

至於模擬會遇到什麼稀奇古怪的問題,我可以在這裡舉乙個例子,前幾天乙個功放,在靜態、一般工作時都正常,在飽和時,會出現微弱的寄生調幅。大家覺得是怎麼出來的呢?這種東西是可以建模,可以算的,而且按計算進行改進,就將這個調幅消除了。

還有今天剛碰見的,檢波器常溫高溫正常,低溫受到強烈干擾。這些也都是能大概算出來的。

還有做振盪器,有時候不是出來乙個點頻,出來的是一大片頻譜,這個原因跟第乙個有點類似。

4樓:

其實實際工作當中,不同公司對於員工的要求不同,可能即便同樣是乙個數字後端工程師的職位,某A公司由於是生產大規模的晶元,所以要求員工是一顆「螺絲釘」,掌握的知識和能力偏專一,而某B公司由於是從事IP解決方案,所以可能要求員工需要全面了解包括前端在內的知識,掌握知識和能力相對偏全面,當然對於員工自己的職業發展來說兩種模式各有利弊,我這裡不做分析,每個人有自己的見解。我個人理解如果這樣比較會更有可比性。如果是拿模擬和數字比,好像拿學法學的和學醫學的比較一樣,不在同乙個範圍或者說不是更準確的標準。

5樓:

我屬於回答者的大多數,從事模擬研究和工作的那一類。

模擬和數字只是把積體電路劃分成了兩個比較小的部分而已,若硬是要爭個優劣,感覺就和硬體與軟體一樣,頗為無趣。

模擬電路是偏深度的,需要去摳基本的半導體物理;而數位電路則偏廣度,不會去摳細節,而要深究系統架構。前者好比登山,看起來蠻難的,的確深奧,但也就那回事;後者則如航海,看似風和日麗,待見大浪滔天,才發覺很難到彼岸。

第一的那篇回覆,感覺矯枉過正了,尤其是那一串的反問。

論及學術,國內數字和模擬在ISSCC,TCAS,VLSI,等都有不少的文章。JSSCC側重理論,收集的文章多是Analog和RF,拿來參考有失偏頗。總的來說,就學術層面,國內的設計這塊(模擬和數字)以及工藝,感覺水平正在逐步提高。

談及應用,中國人的處理器情結太嚴重了,好像只有處理器才能代表積體電路的水平而已(PS,ISSCC上國內中的還多是處理器)。整合PA,Transceiver等,這些不也是需要攻克的難點麼。他們的流片費用也不低:

前者GaAs工藝,後者也到40nm了,幾個片上電感夠放很多的數位電路了。

談就業,博士無論模擬還是數字都好說,還是說一下苦逼的小碩吧,反倒是模擬(和RF)就業比較難寫,數字稍好,雖不及軟體。

最後補充一點,模擬能做的事,的確是逐步被數字領域蠶食,這主要是多虧EDA工具的發展(也就是軟體)。但要知道,全定製電路永遠是最後被蠶食的,好比RF,好比高效能乘除法器,對然也只是時間的問題。

這麼一說,要想不被淘汰,只有去從事軟體了……貌似扯遠了。

6樓:

今天無聊,湊個熱鬧。

從另外乙個角度給大家一些啟發。

10+年以前,中芯國際剛剛成立,半導體行業在國內正火,被譽為直接用沙子印美鈔的好行當。現在如何? 也是10+年以前,國內IC設計公司遍地開花,現在如何?

從商業的角度看,加入乙個未上市的或者幾乎沒有機會上市的IC公司(無論模擬還是數字),基本上你收入不可能有奇蹟發生。IC公司這10多年的收入水平是線性下降的。不要說你已經是高管,你是技術大牛,這年頭連老闆都在找出路呢。

究其原因,還是摩爾定律在起作用。未入行的,或者準備入行的,請一定仔細研究下摩爾定律先。

如果你還不理解,有乙個辦法:你可以把你的手機拆掉,或者電腦拆掉,找一下看看這麼複雜的東西都由幾個公司提供的晶元,有幾個數字晶元幾個模擬晶元。

7樓:Kaiser Li

能製造微控制器的公司多得是,但是能做好AD或者電壓基準晶元的公司就非常稀少了。這可以從乙個側面體現,創造和製造效能OK的模擬晶元有多難........

8樓:祁挽

仔細看完前面回答,真是受教。

數字和模擬之間的關係區別應該說的很清楚了

我是學射頻模擬,以前聽老師聽前輩們說模擬電路是藝術,現在也是慢慢有所感受。

數字方面學的不多不敢亂說。

按我粗淺理解模擬可以看成是真實世界和數字邏輯之間的乙個介面或是橋梁。

數字則是處理從這個介面得到的資訊並做出反應二者缺一不可。

模擬數字的學習過程艱難與否待遇孰優孰劣

在我看來其實也不是那麼重要

都是為了認識這個世界然後做出改變

也還有是有些地方努力就會有所收穫。

引用前面一樓 Anyway 諸君加油。

9樓:

前面回答很詳細。

以我個人的經驗,數位電路:更standard和自動化;

模擬電路基本就是full custom;

RF段Layout會成為很重要的衡量設計者水平的指標。

做Analog/RF這個方向的,基本就是要多年的時間和積累,就像打後期,要持續不斷的farm堆裝備成型。過程艱辛,但是成功了就是翻盤利器;

做數字的,成型快,對時間積累和經驗要求沒有那麼苛刻,難度也不高。就像是高爆發的法師。缺點就是高爆發的太多,競爭的時候乙個不小心就被秒。

各取所好吧。

10樓:李芳

我第一次玩知乎。

我現在做模擬電路不到兩年。以我現在理解:模擬前端是點路了設計,就是用mos管,三極體,電容,電阻等來搭電路;模擬後端是版圖layout,這個比較容易些,但是也很重要,好的版圖工程師對電路的效能有很大的提高,面積,成本等都有幫助。

對員工的要求,我覺得邏輯要清楚。模擬設計我個人覺得難度還是挺大的。

什麼叫模擬電路和數位電路?他們的工作原理是怎樣的?

花生 模擬電路處理的是連續訊號,主要內容是放大,濾波,模數轉換。數位電路處理的是離散訊號,訊號不是0就是1,相對於模擬電路,數位電路更robust.數位電路主要內容包括,數字濾波,運算,DSP等。 Xyasen 說點自己的見解,大學學過一門課叫做 感測技術基礎 裡面講解了感測器的分類和其原理,例如電...

晶元(積體電路)設計是否等於設計閘電路的鏈結實現抽象的算術邏輯結構呢?

如圖所示,很簡單是不是,任何電機或者計算機的學生都知道這個電路的function 好,現在我們來問第乙個問題 利用這個電路,假設你有乙個理想加法器,做電路運算,最快有多快?這個問題對於學過數位電路的人應該不難,就是乙個反向器的延遲 delay 問題。通過乙個反相器,你永遠無法同時產生和。明白了延遲,...

像模擬電路 數位電路這樣的學科能算的上是物理學的乙個分支嗎?

物理學是研究聲光電熱力的科學,主要思想是,從各種各樣的現象中發現他們共同的規律 比如和電相關的歐姆定律啊,楞次定律啊,基爾霍夫定律等等 其實這也是理科的特性,研究行而上的東西。數電模電作為工科學科,主要是應用物理學中研究好的電學相關的科學定律來解決實際生活中的問題 比如電腦 手機等等都是積體電路的產...